execution: PASS sigaddset successfully added signal